メーカー>半導体 会員属性などに応じ、当該求人をビズリーチ上で閲覧された際に内容が異なる場合があります We are looking for a person ready to take up the challenge of working in a high-profile project where we design and integrate multiple chiplets into a System-in-package, in collaboration with external stakeholders.
You will work with Tenstorrent worldwide experts and leaders in the USA, Japan and other countries, and help us make our IP even better.
In this role, you will be responsible for synthesis and place and route using industry standard tools for high-speed CPU core design.
Knowledge of cutting edge silicon technology 5nm and lower and multi Ghz design is a plus. This role is based out of Tokyo, Japan.
Synthesis and Place and Route using industry standard tools for high speed CPU core design Plan out resources, schedule, project PPA Develop strategies to deliver reproducible design convergence results Help to create and refine synthesis and PNR flow for the project team Perform all aspects of design flow from logic synthesis, place and route, FEV, power, timing, quality checks and design closure Develop and recommend better design methodologies to enable better timing convergence Plan out resources, schedule, project PPA Guide and mentor junior engineers PV convergence (including static timing and power analysis) Chip physical design verification including formal equivalence, timing, electrical rules, DRC/LVS, Noise and electro-migration checks Scripting in an interpreted language, minimum TCL in addition to at least one other Due to U.S.
Export Control laws and regulations, Tenstorrent is required to ensure compliance with licensing regulations when transferring technology to nationals of certain countries that have been licensing conditions set by the U.S.
government. As this position will have direct and/or indirect access to information, systems, or technologies that are subject to U.S.Export Control laws and regulations, please note that citizenship/permanent residency, asylee and refugee information and supporting documentation will be required and considered as a condition of employment.
If a U.S. export license is required, employment will not begin until a license with acceptable conditions is granted by the U.S. government. If a U.S. export license with acceptable conditions is not granted by the U.S. government, then the offer of employment will be rescinded.Advanced degree in electrical, computer engineering or computer science Experience with integrated circuit design tools (e.g. Synopsys/Cadence), including logic synthesis, place and route, static timing analysis and design closure Experience with PV convergence, including static timing and power analysis Experience with chip physical design verification, including formal equivalence, timing, electrical rules, DRC/LVS, noise and electro-mitigation checks Hands-on experience with synthesis, block and chip level implementation with industry standard PnR flows and tools Strong experience in SoC/ASIC/GPU/CPU design flows on taped out designs Expertise in timing closure and block/chip levels and ECO flows Experience with scripting in an interpreted language (Python, TCL) Willingness to work with others in a highly complex decision space Skills at developing an implementation plan, monitoring key indicators and communicating resource needs, as well as scoping risk to deliver value on schedule Excellent verbal and written communication in English, and collaboration skills
Fluency in Japanese. フレックスタイム 海外事業 リモートワーク可
-
Kashiwa テンストレントジャパン株式会社半導体>研究・開発 · メーカー>半導体 会員属性などに応じ、当該求人をビズリーチ上で閲覧された際に内容が異なる場合があります We are looking for a person ready to take up the challenge of working in a high-profile project where we design and integrate multiple chiplets into a System-in-package, in collaboration with external stakeholders. Y ...
-
Kashiwa SiTime Japan合同会社半導体>研究・開発 · メーカー>電気・電子 会員属性などに応じ、当該求人をビズリーチ上で閲覧された際に内容が異なる場合があります We are hiring a Senior Logic and Digital Circuit Design Engineer. We at SiTime take pride in being the only company in the market that offers MEMS-based timing solutions. Our MEMS dies are uniquely complemented by ...
-
Kashiwa Apple Japan合同会社機械>研究・開発 · メーカー>電気・電子 会員属性などに応じ、当該求人をビズリーチ上で閲覧された際に内容が異なる場合があります At our company, globally Headquartered in USA California(Cupertino) the one that Steve Jobs created, here new ideas have a way of becoming extraordinary products, services, and customer experiences very quickly. If ...
-
Kashiwa 株式会社Visban電気・電子>研究・開発 · メーカー>電気・電子 会員属性などに応じ、当該求人をビズリーチ上で閲覧された際に内容が異なる場合があります We are looking for a highly skilled and experienced RF circuit design engineer to lead the development of Visban's millimeter-wave network. What You'll Do: Work on a project for 5G wireless communications via mil ...
-
Kashiwa 株式会社Visban電気・電子>研究・開発 · メーカー>電気・電子 会員属性などに応じ、当該求人をビズリーチ上で閲覧された際に内容が異なる場合があります We are looking for a highly skilled and experienced RF circuit design engineer to lead the development of Visban's millimeter-wave network. What You'll Do: Work on a project for 5G wireless communications via mil ...
-
渋谷区, MWH HR Products株式会社【職種名】 · Senior Electrical Design Engineer · 【会社名・店舗名・施設名】 · MWH HR Products株式会社 · 【勤務地】 · 東京都渋谷区 · 【雇用形態】 · 正社員 · 【給与】 · 年収7000000円~年収8000000円 · 【仕事内容】 · Backend design of MCU products for automobiles (40nm process or smaller process) · Layout (Placement of I/Os and IPs based on ...
-
Kashiwa 株式会社日立製作所IT技術職>データサイエンティスト · メーカー>電気・電子 会員属性などに応じ、当該求人をビズリーチ上で閲覧された際に内容が異なる場合があります · デジタルエンジニアリングビジネスユニット Data&Design Data Studio · Lumada Data Science Lab.(以降LDSL)は、日立が有する幅広い業種・業務の専門的知見やノウハウ、人財、先端技術を集結。 より複雑で高度なお客さまの課題に応え、Lumadaによるデジタルイノベーションを加速させるAI・アナリティクス分野の中核組織です。 最適化技術のスペシャリストも在籍し、最 ...
-
Kashiwa アマゾン ウェブ サービス ジャパン合同会社機械>電気・電子制御設計 · 建設>建設・建築・土木 会員属性などに応じ、当該求人をビズリーチ上で閲覧された際に内容が異なる場合があります We have an immediate opening for an Electrical Colo Engineer in Toky If you meet these qualifications, exude passion, and enjoy the challenge of innovative projects at hyper-scale, this job is for you As an Ele ...
-
Kashiwa アマゾン ウェブ サービス ジャパン合同会社機械>電気・電子制御設計 · 建設>建設・建築・土木 会員属性などに応じ、当該求人をビズリーチ上で閲覧された際に内容が異なる場合があります We have an immediate opening for an Electrical Colo Engineer in Toky If you meet these qualifications, exude passion, and enjoy the challenge of innovative projects at hyper-scale, this job is for you As an Ele ...
-
Kashiwa Apple Japan合同会社化学>研究・開発 · メーカー>バイオ 会員属性などに応じ、当該求人をビズリーチ上で閲覧された際に内容が異なる場合があります At our USA company (headquarter at Cupertino, California), new ideas have a way of becoming extraordinary products, services, and customer experiences very quickly. Bring passion and dedication to your job and there's ...
-
Kashiwa Apple Japan合同会社化学>研究・開発 · メーカー>バイオ 会員属性などに応じ、当該求人をビズリーチ上で閲覧された際に内容が異なる場合があります At our USA company (headquarter at Cupertino, California), new ideas have a way of becoming extraordinary products, services, and customer experiences very quickly. Bring passion and dedication to your job and there's ...
-
Kashiwa Apple Japan合同会社化学>研究・開発 · メーカー>バイオ 会員属性などに応じ、当該求人をビズリーチ上で閲覧された際に内容が異なる場合があります At our USA company (headquarter at Cupertino, California), new ideas have a way of becoming extraordinary products, services, and customer experiences very quickly. Bring passion and dedication to your job and there's ...
-
Kashiwa 日立エナジージャパン株式会社電気・電子>電気・電子制御設計 · メーカー>電気・電子 会員属性などに応じ、当該求人をビズリーチ上で閲覧された際に内容が異なる場合があります 職務内容 The project engineer shall have the responsibility to make solution/design of electrical power distribution and transmission system with the knowledge of Japanese electrical code/standard. Project engi ...
-
Kashiwa Apple Japan合同会社電気・電子>回路・実装設計 · メーカー>その他 会員属性などに応じ、当該求人をビズリーチ上で閲覧された際に内容が異なる場合があります At Apple, we believe in hard work, a fun environment, and the kind of creativity and innovation that only comes about when talented people from diverse backgrounds approach problems from varying perspectives. The ...
-
Project Engineer
4時間前
Kashiwa 日立エナジージャパン株式会社電気・電子>回路・実装設計 · メーカー>電気・電子 会員属性などに応じ、当該求人をビズリーチ上で閲覧された際に内容が異なる場合があります 職務内容 Mission statement: The project engineer shall have the responsibility to make solution/design of electrical power distribution and transmission system with the knowledge of Japanese electrical code/standar ...
-
Project Engineer
8時間前
Kashiwa 日立エナジージャパン株式会社電気・電子>回路・実装設計 · メーカー>電気・電子 会員属性などに応じ、当該求人をビズリーチ上で閲覧された際に内容が異なる場合があります 職務内容 Mission statement: The project engineer shall have the responsibility to make solution/design of electrical power distribution and transmission system with the knowledge of Japanese electrical code/standar ...
-
Kashiwa 日立エナジージャパン株式会社電気・電子>電気・電子制御設計 · メーカー>電気・電子 会員属性などに応じ、当該求人をビズリーチ上で閲覧された際に内容が異なる場合があります 職務内容 The project engineer shall have the responsibility to make solution/design of electrical power distribution and transmission system with the knowledge of Japanese electrical code/standard. Project engi ...
-
Product Manager
2日前
Kashiwa クレアトゥラ株式会社IT技術職>データサイエンティスト · コンサルティング>コンサルティング 会員属性などに応じ、当該求人をビズリーチ上で閲覧された際に内容が異なる場合があります As Product Manager you will work with product designers, engineers, and stakeholders on a highly collaborative team. You will be responsible to align your team on outcomes and drive product strategy. ...
-
Product Manager
24時間前
Kashiwa クレアトゥラ株式会社IT技術職>データサイエンティスト · コンサルティング>コンサルティング 会員属性などに応じ、当該求人をビズリーチ上で閲覧された際に内容が異なる場合があります As Product Manager you will work with product designers, engineers, and stakeholders on a highly collaborative team. You will be responsible to align your team on outcomes and drive product strategy. ...
-
Battery Engineer
24時間前
Kashiwa レノボ・ジャパン合同会社IT技術職>製品エンジニア(ハードウェア・ソフトウェア) · コンサルティング>コンサルティング 会員属性などに応じ、当該求人をビズリーチ上で閲覧された際に内容が異なる場合があります About the company: This a is a global technology company with over US$62 billion revenue, ranked 171 in the Fortune Global 500, employing 77,000 people around the world, and serving millio ...
-
Battery Engineer
8時間前
Kashiwa レノボ・ジャパン合同会社IT技術職>製品エンジニア(ハードウェア・ソフトウェア) · コンサルティング>コンサルティング 会員属性などに応じ、当該求人をビズリーチ上で閲覧された際に内容が異なる場合があります About the company: This a is a global technology company with over US$62 billion revenue, ranked 171 in the Fortune Global 500, employing 77,000 people around the world, and serving millio ...